ALLWIN21 AW-1008 PLASMA ASHER EQUIPMENT

النموذج
AW-1008 PLASMA ASHER EQUIPMENT
العلامة التجارية
  • المواصفات
    أبعاد
    55″ H x 33″ W x 42″ D
    الوزن
    600 LB sales@allwin21.com
  • نظرة عامة على المنتج

    The AW-1008 single-wafer photoresist asher is an automated tool designed as a flexible downstream Microwave plasma photoresist removal system for high-volume wafer fabrication. The AW-1008 is in direct response to manufacturer’s concerns for wafer sensitivity to processing RF damage, uptime, reliability and production-proven technology.
    AW-1008 Applications:
    Downstream ashing for NO device damage
    Frontside and backside isotropic removal
    Bulk resist removal
    Single wafer process
    High-dose implanted resist
    Non-oxidizing metal processing
    Descum
    AW-1008 Key Features:
    Production-proven plasma stripper/Asher system technology.
    5-15% Uniformity. (Process & Hardware dependent. Optional.)
    Fast strip/ash rate. (Process & Hardware dependent. Optional.)
    Increased throughput with 3-Axis Integrated Robust Solid Robot.
    Frontside and backside isotropic removal.
    3x 1kW IR Lamp for uniform heating up to 500C.
    75mm-150mm wafer capability.
    Endpoint detection w/Allwin21 SLOPE technology (Optional)
    2 wafer sizes capability without hardware change if necessary.
    Two Fixed cassette stations. Or, one Fixed & one centering station.
    Can handle 50um thickness wafer
    PC controller with Advanced Allwin21 Software Package
    Up to 4 gas lines with MFC’s
    2.45GHz 1000W Microwave
    Pressure control with Throttle Valve
    Touch screen monitor
    EMO, Interlocks, and Watchdog function
    GEM/SECS II interface, Optional
    Small Footprint
    Made in U.S.A.
    AW-1008 Software Key Features:
    Real time graphics display, process data acquisition, and analysis.
    Closed-loop process parameters control.
    Precise parameters profiles tailored to suit specific process requirements.
    Programmable comprehensive calibration of all subsystems from within the software. This allows faster, easier calibration, leading to enhanced process results.
    Recipe creation. It features a recipe editor to create and edit recipes to fully automate the processing of wafers inside the process chamber.
    Validation of the recipe so improper control sequences will be revealed.
    Storage of multiple recipes, process data and calibration files so that process and calibration results can be maintained and compared over time.
    Passwords provide security for the system, recipe editing, diagnostics, calibration and setup functions
    Simple and easy to use menu screen which allow a process cycle to be easily defined and executed.
    Troubleshooting features which allows engineers and service personnel to activate individual subassemblies and functions. More I/O, AD/DA “exposure”.
    DB-25F parallel (printer) port. The computer interfaces to the Allwin21 system with only one cable: the control interface cable.
    The control board inside the machine that translates the computer commands to control the machine has a watchdog timer. If this board looses communication with the control software, it will shut down all processes and halt the system until communication is restored.
    GEM/SECS II function (Optional).
    Advanced Allwin21 EOP function (Optional)
    .
    AW-1008 Specifications:
    Wafer Size: 3 ,4,5,6 inch Capability. Multiple wafer size without hardware charge.
    Temperature: 150-350 ºC (±2 ºC) capability
    Gas Lines: Up to four gas lines with MFCs. Popular MFC Range: 510 SLM O2 and 1 SLM N2.
    Asher Rate: 1.5u-5u/min. positive photoresist; >8u/min. negative photoresist
    Uniformity: 15%, Process Dependent
    Particulate: <0.05 /cm2 (0.03um or greater)
    Damage: CV: <0.I V CV-shift for 250A gate oxide
    Selectivity: >1000:1
    MTBF/MTTA/MTTR: 450 Hours/100 Hours/3.5 Hours or Better. 95% uptime
    *Contact Allwin21 sales for other applications and specifications
    AW-1008 Configuration:
    Main Frame with Breakers, Relays and Wires
    Pentium Class PC with AW Software
    Keyboard, Mouse, USB with SW backup and Cables
    Quartz Tray
    ① 3-4 inch; ② 4-6 inch; ③ 5 inch; ④ 6 inch; ⑤ Others
    Fixed Cassette Station
    ① Two Cassette Stations; ② One Cassette Station
    Lamp Heat Module and Quartz Window (3 of 1000W IR lamp)
    6 inch Quartz showerhead and 5 inch Diffusion Disk
    Chamber Top Plate and Body with TC for Close Loop Temperature Control (CLTC)
    Main Control, Distributor PCB and DC
    H1-7X10.5 Integrated Solid Robot
    Waveguide and Quartz Plasma Tube
    Blower for Magnetron and Waveguide
    Capacitor, Two Transformers, HV Diode
    1000W Air cooling magnetron
    1-4 Gas Lines w/ Pneumatic Valve, and MFC
    ① One MFC; ② Two MFCs; ③ Three MFCs; ④ Four MFCs
    AC Box and Lamp Control PCB for Close Loop Temperature Control (CLTC)
    Main Vacuum Valves. Two, one for Fast and one for slow pump down
    MKS Baratron
    Throttle Valve
    Front EMO, Interlocks
    15-inch Touch Screen GUI
    AW-1008 Options:
    EOP Module with PCB
    GEM/SECS II function (Software)
    Lamp Tower Alarm function
    1.25kW “Absolute” MW Magnetron with water-cooled Waveguide with AGL Power Generator.
    Vacuum Pump

    sales@allwin21.com


    نموذج كتيب (200 KB )

  • عن الشركة
    Allwin21 Corp

    Allwin21 Corp. was formed in 2000 with a focus on professionally providing Rapid Thermal Process, Plasma Asher Strip / Descum, Plasma Etch/RIE, Sputter Deposition and Metal Film Metrology high-tech semiconductor equipment, services and technical support in Semiconductor III-V, MEMS, Biomedical, Nanotechnology, Solar, Battery & LED industries. We endeavor to be a leader in our product lines. We focus on extending product lifecycle, providing solutions, and engineering enhancements to many production proven semiconductor process equipment most directly related to III-V processing. These semiconductor equipment have been used in production and R&D since the 1990′s. They have proven processes and research. Allwin21 Corp. customizes these systems with Allwin21′s comparable integrated process control system with PC, solid robotic wafer transfer system, and new critical components. This is to achieve the goal of giving our customers a production edge, with right cost, and without having to worry about obsolete parts. Allwin21 Corp. is the exclusive licensed manufacturer of AG Associates Heatpulse 610 Rapid Thermal Process tool. We are manufacturing the new AccuThermo AW Series Rapid Thermal Processors. Compared with traditional RTP systems, Allwin21’s AccuThermo AW RTPs have innovative software and more advanced real time temperature control technologies to achieve the BEST rapid thermal processing performance (repeatability, uniformity, and stability) with decades of research directly applicable to ours. We have maintained a global presence that has grown and expanded into the major high-tech manufacturing areas of the world. We pride ourselves on developing and continuing lasting customer relationships. We understand that a timely responsive support and service are critical elements in semiconductor industries. Allwin21’s experienced engineer team is the best guarantee for high quality service and support. We provide on-site installation, training, maintenance, system optimization, retrofits, and/or customized upgrades What sets us apart from the competition… 1) Exclusive licensed manufacturer of Heatpulse 610 of AG Associates. 2) Advanced Allwin21 Real Time PC Control Technology. 3) Focus on Production-Proven process technology. 4) Integrated 3-axis solid robotic wafer transfer technology. 5) Experienced local engineer support. 6) Products made in U.S.A Main Products: Rapid Thermal Process AccuThermo AW410 AccuThermo AW610 AccuThermo AW810 AccuThermo AW820 AccuThermo AW610V AccuThermo AW820V Sputter Deposition AccuSputter AW4450 Perkin Elmer 4400 Perkin Elmer 4410 Perkin Elmer 4450 Plasma Ash/Descum AW-105R AW-1008 AW-B3000 Plasma Etch/RIE AW-2001R AW-901eR AW-903eR TTW AW-901eR TTW AW-903eR Metal Film Metrology AWgage-150 AWgage-200 Upgrade Kits for : AG Associates Heatpulse 210, Minipulse 310, Heatpulse 410, Heatpulse 610 Rapid Thermal Processing equipment; Tegal 901e, Tegal 903e, Gasonics AE 2001, Matrix 303, Matrix 403, Lam AutoEtch 490, Lam AutoEtch 590, Lam AutoEtch 690, Lam AutoEtch 790, Lam AutoEtch 480, Lam AutoEtch 580, Lam AutoEtch 680, Lam AutoEtch 780 Plasma Etcher equipment;Matrix 105, Matrix 106,Matrix 205, Matrix 101,Matrix 102,Matrix 103, Matrix 104, Matrix 10, Gasonics Aura 1000, Gasonics Aura 3000, Gasonics Aura 3010, Gasonics L3510, Branson/IPC 2000, Branson/IPC 3000, Branson/IPC 4000 Plasma Asher ,Plasma Descum Equipment; Perkin-Elmer 4400, Perkin-Elmer 4410, Perkin-Elmer 4450, Perkin-Elmer 4480, Perkin-Elmer 2400 sputter deposition systems Contact Us by sales@allwin21.com


تبحث لشراء جديد ALLWIN21 AW-1008 PLASMA ASHER EQUIPMENT ؟