ALLWIN21 AW-105R PLASMA ASHER DESCUM EQUIPMENT

النموذج
AW-105R PLASMA ASHER DESCUM EQUIPMENT
العلامة التجارية
  • المواصفات
    أبعاد
    58″ H x 27″ W x 39″ D
    الوزن
    700 KB sales@allwin21.com
  • نظرة عامة على المنتج

    The AW-105R single-wafer photoresist asher and descum is an automated tool designed as a flexible 13.56MHz RF Parallel Plate plasma photoresist removal and descum system for high-volume wafer fabrication. The AW-105R is in direct response to manufacturer’s concerns for wafer uniformity, uptime, reliability and production-proven technology.
    AW-105R Applications:
    GaAs, InP, GaN, SiC wafer Strip (Mainly)
    GaAs, InP, GaN, SiC wafer Descum (Mainly)
    Thin Film Head Resist Cleaning
    Opto-Electronic Devices Cleaning
    MEMS
    Photoresist Stripping
     High dose implant (As+, B+, P+)
     Rework
     Post-polysilicon
     Post-metal
     Post-oxide
    Controlled Resist Removal
     Post-develop descum
     Uniformity capability (<5% 1σ)
    AW-105R Key Features:
    Production-proven plasma Asher/Descum system.
    Integrated solid robotic wafer handling, Single wafer process.
    Up to 3%-5% Uniformity. Best for III-V Materials.
    Frontside and backside isotropic removal.
    Consistent wafer-to-wafer process cycle repeatability.
    Element heating for up to 250oC.
    50mm-150mm wafer capability. Up to 6.25” substrate.
    Up to 4 wafer size capability without hardware change.
    Fixed cassette station and wafer aligner/cooling station.
    Can handle 50um thickness wafer.
    PC controller with Advanced Allwin21 Software.
    Endpoint detection (EOP) with Allwin21 SLOPE technology (Optional).
    Up to 3 gas lines with MFC.
    Air-Cooled 600W MKS 13.56 MHz RF Generator (300W Option).
    Pressure control with Throttle Valve.
    15-inch Touch screen monitor GUI.
    EMO, Interlocks, and Watchdog function.
    GEM/SECS II (optional).
    Small Footprint: 27”W x 40”D x 59”H (280LBs)
    Made in U.S.A.
    AW-105R Software Key Features:
    Real time graphics display, process data acquisition, and analysis.
    Closed-loop process parameters control.
    Precise parameters profiles tailored to suit specific process requirements.
    Programmable comprehensive calibration of all subsystems from within the software. This allows faster, easier calibration, leading to enhanced process results.
    Recipe creation to ensure process repeatability. It features a recipe editor to create and edit recipes to fully automate the processing of wafers inside the process chamber.
    Validation of the recipe so improper control sequences will be revealed.
    Storage of multiple recipes, process data, and calibration files so that process & calibration results can be maintained or compared over time.
    Passwords provide security for the system, recipe editing, diagnostics, calibration, and setup functions.
    Simple and easy to use menu screen which allow a process cycle to be easily defined and executed.
    Troubleshooting features which allows engineers and service personnel to activate individual subassemblies and functions. More I/O and AD/DA “exposure”.
    DB-25F parallel (printer) port. The computer interfaces to the Allwin21 system with only one cable: the control interface cable.
    The control board inside the machine that translates the computer commands to control the machine has a watchdog timer. If this board loses communication with the control software, it will shut down all processes and halt the system until communication is restored.
    GEM/SECS II function (Optional).
    Advanced Allwin21 End of Process (EOP) function (Optional)
    .
    AW-105R Specifications:
    Wafer Size: Up to 6.25 inch.
    Temperature: 60-250ºC (±2ºC)
    Gas Lines: Up to three gas lines with MFCs.
    Typical MFC configuration: 5 SLM O2 and 500 SCCM N2.
    Asher Rate: 0.5-1.5 um/min at 200 to 250 ºC, bulk strip; 600 A/min at 100 ºC, Descum
    Uniformity: <±8% (Max-Min) Strip; <±5% (Max-Min) Descum
    Particulate: <0.05 /cm2 (0.03um or greater)
    Damage: CV:<0.1V from control; Mobile Ion:<1-2 E10 ; Vt :0% total shift on 98% of points tested no shift >5%
    Selectivity: >1000:1
    MTBF/MTTA/MTTR: 450 Hours/100 Hours/3.5 Hours or Better.
    95% uptime
    *Contact Allwin21 sales for other applications and specifications
    AW-105R Configuration:
    Main Frame with Circuit Breakers, Solenoid Valves
    Pentium Class PC with AW Software
    Keyboard, Mouse, USB SW backup, and Cables
    Chuck /w Heat, Pump Ring ,Lift Pins
    ① 2-4 inch; ② 2-6 inch; ③ 4-6 inch; ④ 6.125 inch; ⑤ 6.25 inch
    Center Aligner and Cassette Station
    ① Two Dimensions ② Four Dimensions
    Anodized Reactor with Door
    Chamber Base plate with water sensor
    Base Plate and Reactor Ceramic Ring
    Base Plate and Chuck Ceramic Ring
    Upper and Lower Electrodes
    Quartz showerhead & Diffusion Disk
    Main Control and Distribution PCBs
    3-axis Integrated Robust Solid Robot
    RF Matching Network with PCBs
    13.56MHz RF Generator
    ① 300W ② 600W
    MFC /w In-line Filter and Solenoid Isolation Valve
    ① One MFC; ② Two MFCs; ③ Three MFCs
    AC/DC Box with Temperature Controller
    MKS Baratron with Isolation Valve
    Lamp Tower Alarm w/ Buzzer
    Throttle Valve
    Main Vacuum Valve
    Front EMO, Interlocks
    15-inch Touch Screen GUI
    AW-105R Options:
    End-of-Process (EOP)
    GEM/SECS II (Software)
    Vacuum Pump
    Chiller for Chamber Base Plate

    sales@allwin21.com


    نموذج كتيب (200 KB )

  • عن الشركة
    Allwin21 Corp

    Allwin21 Corp. was formed in 2000 with a focus on professionally providing Rapid Thermal Process, Plasma Asher Strip / Descum, Plasma Etch/RIE, Sputter Deposition and Metal Film Metrology high-tech semiconductor equipment, services and technical support in Semiconductor III-V, MEMS, Biomedical, Nanotechnology, Solar, Battery & LED industries. We endeavor to be a leader in our product lines. We focus on extending product lifecycle, providing solutions, and engineering enhancements to many production proven semiconductor process equipment most directly related to III-V processing. These semiconductor equipment have been used in production and R&D since the 1990′s. They have proven processes and research. Allwin21 Corp. customizes these systems with Allwin21′s comparable integrated process control system with PC, solid robotic wafer transfer system, and new critical components. This is to achieve the goal of giving our customers a production edge, with right cost, and without having to worry about obsolete parts. Allwin21 Corp. is the exclusive licensed manufacturer of AG Associates Heatpulse 610 Rapid Thermal Process tool. We are manufacturing the new AccuThermo AW Series Rapid Thermal Processors. Compared with traditional RTP systems, Allwin21’s AccuThermo AW RTPs have innovative software and more advanced real time temperature control technologies to achieve the BEST rapid thermal processing performance (repeatability, uniformity, and stability) with decades of research directly applicable to ours. We have maintained a global presence that has grown and expanded into the major high-tech manufacturing areas of the world. We pride ourselves on developing and continuing lasting customer relationships. We understand that a timely responsive support and service are critical elements in semiconductor industries. Allwin21’s experienced engineer team is the best guarantee for high quality service and support. We provide on-site installation, training, maintenance, system optimization, retrofits, and/or customized upgrades What sets us apart from the competition… 1) Exclusive licensed manufacturer of Heatpulse 610 of AG Associates. 2) Advanced Allwin21 Real Time PC Control Technology. 3) Focus on Production-Proven process technology. 4) Integrated 3-axis solid robotic wafer transfer technology. 5) Experienced local engineer support. 6) Products made in U.S.A Main Products: Rapid Thermal Process AccuThermo AW410 AccuThermo AW610 AccuThermo AW810 AccuThermo AW820 AccuThermo AW610V AccuThermo AW820V Sputter Deposition AccuSputter AW4450 Perkin Elmer 4400 Perkin Elmer 4410 Perkin Elmer 4450 Plasma Ash/Descum AW-105R AW-1008 AW-B3000 Plasma Etch/RIE AW-2001R AW-901eR AW-903eR TTW AW-901eR TTW AW-903eR Metal Film Metrology AWgage-150 AWgage-200 Upgrade Kits for : AG Associates Heatpulse 210, Minipulse 310, Heatpulse 410, Heatpulse 610 Rapid Thermal Processing equipment; Tegal 901e, Tegal 903e, Gasonics AE 2001, Matrix 303, Matrix 403, Lam AutoEtch 490, Lam AutoEtch 590, Lam AutoEtch 690, Lam AutoEtch 790, Lam AutoEtch 480, Lam AutoEtch 580, Lam AutoEtch 680, Lam AutoEtch 780 Plasma Etcher equipment;Matrix 105, Matrix 106,Matrix 205, Matrix 101,Matrix 102,Matrix 103, Matrix 104, Matrix 10, Gasonics Aura 1000, Gasonics Aura 3000, Gasonics Aura 3010, Gasonics L3510, Branson/IPC 2000, Branson/IPC 3000, Branson/IPC 4000 Plasma Asher ,Plasma Descum Equipment; Perkin-Elmer 4400, Perkin-Elmer 4410, Perkin-Elmer 4450, Perkin-Elmer 4480, Perkin-Elmer 2400 sputter deposition systems Contact Us by sales@allwin21.com


تبحث لشراء جديد ALLWIN21 AW-105R PLASMA ASHER DESCUM EQUIPMENT ؟