ALLWIN21 AW-903ER PLASMA ETCHER / RIE EQUIPMENT

النموذج
AW-903ER PLASMA ETCHER / RIE EQUIPMENT
العلامة التجارية
  • المواصفات
    أبعاد
    25″ H x 43″ W x 43″ D
    الوزن
    500 LB sales@allwin21.com
  • نظرة عامة على المنتج

    The AW-901eR & AW-903eR single-wafer dry etchers are automated tools designed as a flexible 13.56MHz RF Parallel Plate plasma etching systems for high-volume wafer fabrication. AW-901eR & AW-903eR are in direct response to manufacturer’s concerns for wafer breakage, Uniformity, Uptime, Reliability, and Production-Proven technology
    AW-901eR /AW903eR Applications:
    Polysilicon Etch
    Nitride Etch
    Silicon Nitride Etch
    Silicides Etch
    Silicon Dioxide Etch
    Polyimide Etch
    Polyimide ILD Etch
    LDD Spacer Etch
    BCB Etch
    Zero Layer Etch
    Backside Etch
    Pad Etch
    Passivation Etch
    Oxide/Contact/Via Etch (Down to 0.8um)
    Titanium/Tantalum Alloy
    Resist/SOG Planarization
    Descum
    AW-901eR/ AW903eR Key Features:
    Production-proven plasma etching system.
    Up to 3%-5% Uniformity.
    Frontside and backside isotropic and anisotropic etch.
    Process Temperature: 6-65°C .
    75mm-150mm wafer capability.
    Integrated solid robotic wafer handling. Single wafer process.
    Fixed cassette station and wafer aligner/cooling station.
    Can handle 50um thickness wafer.
    PC controller with Advanced Allwin21 Software.
    Endpoint detection with Allwin21 SLOPE technology. (Optional)
    Up to 4 gas lines with MFC’s.
    MKS 13.56 MHz RF Air-Cooled Generator 300W, 600W, or 1000W.
    Pressure control with UPC. Throttle valve is optional.
    Touch screen GUI.
    EMO, Interlocks, and Watchdog function.
    GEM/SECS II (Optional)
    Small Footprint
    Made in U.S.A.
    AW-901eR/ AW-903eR Software Key Features:
    Real time graphics display, process data acquisition, and analysis.
    Closed-loop process parameters control.
    Precise parameters profiles tailored to suit specific process requirements.
    Programmable comprehensive calibration of all subsystems from within the software. This allows faster, easier calibration, leading to enhanced process results.
    Recipe creation to ensure process repeatability. It features a recipe editor to create and edit recipes to fully automate the processing of wafers inside the process chamber.
    Validation of the recipe so improper control sequences will be revealed.
    Storage of multiple recipes, process data, and calibration files so that process & calibration results can be maintained or compared over time.
    Passwords provide security for the system, recipe editing, diagnostics, calibration, and setup functions.
    Simple and easy to use menu screen which allow a process cycle to be easily defined and executed.
    Troubleshooting features which allows engineers and service personnel to activate individual subassemblies and functions. More I/O and AD/DA “exposure”.
    DB-25F parallel (printer) port. The computer interfaces to the Allwin21 system with only one cable: the control interface cable.
    The control board inside the machine that translates the computer commands to control the machine has a watchdog timer. If this board loses communication with the control software, it will shut down all processes and halt the system until communication is restored.
    GEM/SECS II function (Optional).
    Advanced Allwin21 Endpoint Detection function (Optional).
    AW-901eR/ AW903eR Specifications:
    Up to 6 inch Capability
    Throughput: 30-60 WPH, Process Dependent
    Temperature: 6-65ºC (±2 ºC) capability
    Gas Lines: 4 gas lines with MFCs.
    Etcher Rate: AW-901eR: 0-8000A/minute; AW-903eR: 0-4000A/minute, Process Dependent
    Uniformity: Up to ±3%, Process Dependent
    Particulate: <0.05 /cm2 (0.03um or greater)
    Selectivity: 901eR: 2-20:1 ; AW-903eR: 2-20:1, Process Dependent
    MTBF/MTTA/MTTR: 450 Hours/100 Hours/3.5 Hours or Better. 95% uptime
    * Contact Allwin21 sales for other applications and specifications
    AW-901eR/ AW-903eR Configuration:
    Main Frame, Standard
    Pentium Class PC with AW Software
    Keyboard, Mouse, USB with SW backup, and Cables
    Chuck
    ① 3”; ② 4”; ③ 5”; ④ 6”
    Wafer Aligner/Cooling Station
    3-Axis Integrated Solid Robot
    ① H-Zero (Standard); ② H1-7X10.5 (TTW)
    Fixed Cassette Station
    Chuck Assembly
    ① 901eR Non-anodized; ② 903eR Anodized /W Flat
    ③ 903eR Anodized /wo Flat ④ 903eR Non-anodized /W Flat
    Reactor Assembly
    ① 901eR Non-anodized; ② 903eR Anodized
    ③ 903eR Non-anodized; ④ 903eR High Performance
    ⑤ Direct Cooling; ⑥ Non-Direct Cooling
    Pins
    ① Quartz; ② Ceramic; ③ SST
    Centering Ring
    ① Aluminum; ② Quartz; ③ Ceramic
    Main Control Board
    Gas Box /w 4 inline Gas Lines, MFC, filters, and Pneumatic valves
    RF Matching Network with PCB
    13.56 MHz RF Generator (Air or Water Cooled)
    ① MKS Elite:300HD; ② MKS Elite:600HD
    ③ MKS Elite:1000HD; ④ ENI ACG 3; ⑤ ENI ACG 10
    AC/DC Box
    ATM Sensor
    UPC Pressure Control
    ① 225 SCCM,901eR; ② 2000 SCCM, 903eR
    MKS Baratron with Pneumatic Isolation Valve
    Main Vacuum Valves
    Front EMO, Interlocks
    15-inch Touch Screen GUI
    AW-901eR/ AW-903eR Options:
    EOP Module with PCB
    GEM/SECS II function (Software)
    Lamp tower alarm with buzzer
    Throttle Valve Pressure Control
    Vacuum Pump
    Chiller for chuck and chamber
    Through The Wall

    Contact us by sales@allwin21.com


    نموذج كتيب (200 KB )

  • عن الشركة
    Allwin21 Corp

    Allwin21 Corp. was formed in 2000 with a focus on professionally providing Rapid Thermal Process, Plasma Asher Strip / Descum, Plasma Etch/RIE, Sputter Deposition and Metal Film Metrology high-tech semiconductor equipment, services and technical support in Semiconductor III-V, MEMS, Biomedical, Nanotechnology, Solar, Battery & LED industries. We endeavor to be a leader in our product lines. We focus on extending product lifecycle, providing solutions, and engineering enhancements to many production proven semiconductor process equipment most directly related to III-V processing. These semiconductor equipment have been used in production and R&D since the 1990′s. They have proven processes and research. Allwin21 Corp. customizes these systems with Allwin21′s comparable integrated process control system with PC, solid robotic wafer transfer system, and new critical components. This is to achieve the goal of giving our customers a production edge, with right cost, and without having to worry about obsolete parts. Allwin21 Corp. is the exclusive licensed manufacturer of AG Associates Heatpulse 610 Rapid Thermal Process tool. We are manufacturing the new AccuThermo AW Series Rapid Thermal Processors. Compared with traditional RTP systems, Allwin21’s AccuThermo AW RTPs have innovative software and more advanced real time temperature control technologies to achieve the BEST rapid thermal processing performance (repeatability, uniformity, and stability) with decades of research directly applicable to ours. We have maintained a global presence that has grown and expanded into the major high-tech manufacturing areas of the world. We pride ourselves on developing and continuing lasting customer relationships. We understand that a timely responsive support and service are critical elements in semiconductor industries. Allwin21’s experienced engineer team is the best guarantee for high quality service and support. We provide on-site installation, training, maintenance, system optimization, retrofits, and/or customized upgrades What sets us apart from the competition… 1) Exclusive licensed manufacturer of Heatpulse 610 of AG Associates. 2) Advanced Allwin21 Real Time PC Control Technology. 3) Focus on Production-Proven process technology. 4) Integrated 3-axis solid robotic wafer transfer technology. 5) Experienced local engineer support. 6) Products made in U.S.A Main Products: Rapid Thermal Process AccuThermo AW410 AccuThermo AW610 AccuThermo AW810 AccuThermo AW820 AccuThermo AW610V AccuThermo AW820V Sputter Deposition AccuSputter AW4450 Perkin Elmer 4400 Perkin Elmer 4410 Perkin Elmer 4450 Plasma Ash/Descum AW-105R AW-1008 AW-B3000 Plasma Etch/RIE AW-2001R AW-901eR AW-903eR TTW AW-901eR TTW AW-903eR Metal Film Metrology AWgage-150 AWgage-200 Upgrade Kits for : AG Associates Heatpulse 210, Minipulse 310, Heatpulse 410, Heatpulse 610 Rapid Thermal Processing equipment; Tegal 901e, Tegal 903e, Gasonics AE 2001, Matrix 303, Matrix 403, Lam AutoEtch 490, Lam AutoEtch 590, Lam AutoEtch 690, Lam AutoEtch 790, Lam AutoEtch 480, Lam AutoEtch 580, Lam AutoEtch 680, Lam AutoEtch 780 Plasma Etcher equipment;Matrix 105, Matrix 106,Matrix 205, Matrix 101,Matrix 102,Matrix 103, Matrix 104, Matrix 10, Gasonics Aura 1000, Gasonics Aura 3000, Gasonics Aura 3010, Gasonics L3510, Branson/IPC 2000, Branson/IPC 3000, Branson/IPC 4000 Plasma Asher ,Plasma Descum Equipment; Perkin-Elmer 4400, Perkin-Elmer 4410, Perkin-Elmer 4450, Perkin-Elmer 4480, Perkin-Elmer 2400 sputter deposition systems Contact Us by sales@allwin21.com


تبحث لشراء جديد ALLWIN21 AW-903ER PLASMA ETCHER / RIE EQUIPMENT ؟