ALLWIN21 ACCUTHERMO AW 820 RAPID THERMAL PROCESSING EQUIPMENT

型号
ACCUTHERMO AW 820 RAPID THERMAL PROCESSING EQUIPMENT
品牌
价格
$ 100,001 USD 以上
  • 规格
    外形尺寸
    70″ H x 39″ W x 36″ D
    重量
    600 LB sales@allwin21.com
  • 产品概述

    The AccuThermo AW820 was derived from the AG Associates 610 production-proven design. Allwin21 Corp. is the exclusive manufacturer of the AG Associates Heatpulse 610 desktop atmospheric RTP (Rapid Thermal Processing) system. The system uses high intensity visible radiation to heat single wafer for short process periods of time at precisely controlled temperatures. The process periods are typically 1 600 seconds in duration, although periods of up to 9999 seconds can be selected. These capabilities, combined with the heating chamber's cold-wall design and superior heating uniformity, provide significant advantages over conventional furnace processing.
    AccuThermo AW 820 Applications:
    Silicon-dielectric growth
    Implant annealing
    Glass reflow
    Silicides formation and annealing
    Contact alloying
    Nitridation of metals
    Oxygen-donor annihilation
    Other heat treatment process
    AccuThermo AW 820 Typical Application Areas:
    Chip manufacture
    Compound industry: GaAs,GaN,GaP,GaINP,InP,SiC, III-V,II-VI
    Optronics, Planar optical waveguides, Lasers
    Nanotechnology
    Biomedical
    Battery
    MEMS
    Solar
    LED

    AccuThermo AW 820 Key Features:
    Long steady time capability RTP/RTA/RTO/RTN system with big stand alone frame and fans in the frame
    35 years’ production-proven Real RTP/RTA/RTO/RTN system.
    Scattered IR light by special gold plated Al chamber surface.
    Allwin21 advanced Software package with real time control technologies and many useful functions.
    Consistent wafer-to-wafer process cycle repeatability.
    Top and bottom High-intensity visible radiation Tungsten halogen lamp heating for fast heating rates with good repeatability performance and long lamp lifetime.
    Cooling N2 (Or CDA) flows around the lamps and quartz isolation tube for fast cooling rates
    Elimination of external contamination by Isolated Quartz Tube
    Up to four gas lines with MFCs and shut-off valves
    Energy efficiency
    Made in U.S.A.
    Small footprint
    AccuThermo AW 820 Software Key Features:
    Integrated process control system
    Real time graphics display
    Real time process data acquisition, display, and analysis
    Programmed comprehensive calibration and diagnostic functions
    Closed-loop temperature control with temperature sensing.
    Precise time-temperature profiles tailored to suit specific process requirements.
    Faster, easier Programmable comprehensive calibration of all subsystems, leading to enhanced process results.
    A recipe editor to create and edit recipes to fully automate the processing of wafers inside the AccuThermo RTP
    Validation of the recipe so improper control sequences will be revealed.
    Storage of multiple recipes, process data and calibration files so that process and calibration results can be maintained and compared over time.
    Passwords provide security for the system, recipe editing, diagnostics, calibration and setup functions.
    Simple and easy to use menu screen which allow a process cycle to be easily defined and executed.
    Troubleshooting feature which allows engineers and service personnel to activate individual subassemblies and functions. More I/O, AD/DA “exposure”.
    Use PowerSum technology to detect the process and increase Yield.
    Watchdog function: If this board looses communication with the control software, it will shut down all processes and halt the system until communication is restored.
    GEM/SECS II function (Optional).
    AccuThermo AW 820 Specifications:
    Wafer sizes: Small pieces, 2", 3", 4", 5", 6" , 8" wafer capability
    Recommended ramp up rate: Programmable, 10°C to 120°C per second. Maximum Rate: 200°C (NOT RECOMMENDED)
    Recommended steady state duration: 0-600 seconds per step.
    Ramp down rate: Non-programmable, 10°C to 200°C per second.
    Recommended steady state temperature range: 150°C - 1150°C. Maximum 1250°C (NOT RECOMMENDED)
    ERP Pyrometer 450-1250°C with ±1°C accuracy when calibrated against an instrumented thermocouple wafer.
    Thermocouple 100-800°C with ±0.5°C accuracy & rapid response.
    Temperature repeatability: ±0.5°C or better at 1150°C wafer-to-wafer. (Repetition specifications are based on a 100-wafer set.)
    Temperature uniformity: ±8°C across an 8" (200 mm) wafer at 1150°C. (This is a one sigma deviation 100 angstrom oxide.) For a titanium silicide process, no more than 6% increase in non-uniformity during the first anneal at 650°C to 700°C.
    Process/Purge gas inputs: Any inert and/or non-toxic gas regulated to 30 PSIG and pre-filtered to 1 micron. Typically, N2, O2), Ar, He, forming gas, NH3, N2O2 are used.
    AccuThermo AW 820 Configuration:
    AccuThermo AW 820 Main Frame with wires.
    Power Type: Three Phase, worldwide power (50/60 Hz)
    CE Mark if Necessary
    Pentium® class computer with a 17-inch LCD monitor and Allwin21 Corp proprietary software package.
    Mouse and standard keyboard.
    Aluminum oven chamber with water cooling passages and gold plating plates.
    Door plate with one TC connection port.
    Isolated Quartz Tube W/O Pyrometer window or with Pyrometer Window.
    Oven control board and one main control board.
    Bottom and top heating with 27 (1.2KW ea) Radiation heating lamp module with 4 bank zones (Top Front&Rear, Bottom Front&Rear).
    Quartz Tray for 5 to 8 inch round wafer or customized.
    Gas line with one Gas MFC with shut-off valve
    T-Shape Quartz with qualified K-Type TC and one set holder for 100-800°C temperature measurement.
    Package of 5 pieces of thermocouple wires as spare TC.
    USB with original Software backup.
    AccuThermo AW 820 Options:
    Multiple Process Gases (Up to 4) and MFCs with Gas Control Board if necessary.
    Carrier or Susceptor for small sample, transparent substrate and substrate with metal thin film on top.
    Patented ERP Pyrometer (400-1250°C) as non-contact high temperature sensor.
    Chiller for ERP Pyrometer
    2-inch, 4-inch, 6-inch, 8-inch (Not recommended) TC Wafer, Single Point for Pyrometer calibration
    Omega Meter for Pyrometer and Thermocouple calibration
    Shutt-off valve for Quartz Tube & Lamps cooling control
    Spare Parts

    Contact Us by sales@allwin21.com


    型号说明书 (663.7 KB)

  • 关于公司
    Allwin21 Corp

    Please help fill in the RFQ forms-Free Fast Quote: https://allwin21.com/free-fast-quote/ or email us at sales@allwin21.com for more info. Appreciate your time! Allwin21 Corp. was formed in 2000 with a focus on professionally providing Rapid Thermal Process, Plasma Asher Strip / Descum, Plasma Etch/RIE, Sputter Deposition, and Metal Film Metrology semiconductor equipment, services and technical support in Semiconductor III-V, MEMS, Biomedical, Nanotechnology, Solar, & LED industries. We endeavor to be a leader in our product lines. To achieve this, we have been providing unique innovative and cost-effective technical solutions, high quality equipment, and on time spare parts delivery worldwide. We have maintained a global presence that has grown and expanded into the major high-tech manufacturing areas of the world. We pride ourselves on developing and continuing lasting customer relationships. Allwin21 Corp. is the exclusive licensed manufacturer of AG Associates Heatpulse 610 Rapid Thermal Process tool. We are manufacturing the new AccuThermo AW Series Atmospheric and Vacuum Rapid Thermal Processors. Compared with traditional RTP systems, Allwin21’s AccuThermo AW RTPs have innovative software and more advanced temperature control technologies to achieve the BEST rapid thermal processing performance (repeatability, uniformity, and stability) with decades of research directly applicable to ours.We focus on extending product lifecycle, providing solutions, and engineering enhancements to many production-proven semiconductor process equipment as well- most directly related to Si and III-V processing. These platforms of OEM semiconductor equipment have been used in Si and III-V production and R&D since the 1990′s. They have proven processes and research. Allwin21 Corp. can customize these OEM systems with Allwin21′s comparable integrated process control system with PC, solid robotic wafer transfer system, and new critical components. This is to achieve the goal of giving our customers a production edge, with right cost, and without having to worry about obsolete parts. Main Products: Rapid Thermal Process AccuThermo AW610M ~6" (Video) AccuThermo AW820M ~8" AccuThermo AW820V ~8" Sputter Deposition AccuSputter AW4450 ~8" (Video ) Plasma Ash/Descum AW-105R ~6" ( Video) AW-10R ~8" AW-1008 ~6" AW-B3000 ~8",Manual/Batch Plasma Etch/RIE AW-901eR ~6" (Video) AW-903eR ~6" ( Video) TTW AW-901eR ~6" TTW AW-903eR ~6" AW-303R Low Plasma Damage ~6" AW-2001R ~6" Thin Film Metrology AWgage-150 ~6" (Video) AWgage-200 ~8" (Video) Upgrade Kits: Allwin21 Corp. has been focusing on providing solutions and enhancements to the following used semiconductor equipment. These OEM semiconductor equipment have been used in productions and R&D since 1990′s. They’ve each been PROCESS-PROVEN. Allwin21 Corp. can refurbish and/or upgrade these OEM systems with Allwin21′s comparable integrated process control system with PC, solid 3-axis robotic wafer transfer system (if applicable), and new critical components to achieve the goal of giving our customers a production edge with right cost. We have been doing upgrade for many production proven equipment, such as Perkin-Elmer 2400, Perkin-Elmer 4400, Perkin-Elmer 4410, Perkin-Elmer 4450, Perkin-Elmer 4480, Matrix 105 , Matrix 106, Matrix 303, Matrix 303, Matrix 403, Matrix 205, Matrix 101, Matrix 102, Matrix 103, Matrix 104,Tegal 901e, Tegal 903e, Lam Research LAM AutoEtch 490, Lam AutoEtch 590, Lam AutoEtch 690, Branson/IPC 2000, Branson IPC 3000, Branson/IPC 4000, Gasonics Aura 3000, Gasonics Aura 3010, Gasonics L3510, Gasoncis Aura 1000, Gasonics AE 2001, AG Associates Heatpulse 610, AG Associates Heatpulse 410, AG Associates Minipulse 310, AG Associates Heatpulse 210 on the field for many cases. The reliability have been improved a lot for most of the tools after upgrading. We designed the upgrade kits for these working tools that can do plug and play and most of them only need 2 to 3 days include training. For PE sputter, since it is a little complicated, but we still target to upgrade within one week include training if the machine without other problems. Please help fill in the RFQ forms-Free Fast Quote: https://allwin21.com/free-fast-quote/ or email us at sales@allwin21.com for more info. Appreciate your time!


打算购买新的ALLWIN21 ACCUTHERMO AW 820 RAPID THERMAL PROCESSING EQUIPMENT ?